Posts

Showing posts from July, 2013

Google Ads

The Making of Capillary Action Alcohol Stove

Image
Capillary action alcohol stove in action This is a simpler stove to make. There is no epoxy needed to seal parts like the Open Jet Alcohol Stove . All i need to do, were just marking, cutting and crimping the grooves for the capillaries. This type of stove uses the crimped grooves as capillary action for the alcohol vapors to escape through it.  When the stove is lighted, it takes about few seconds to heat up the stove and boil the alcohol inside. In no time the alcohol vapors escape through the crimped grooves and catches the fire from the center hole. Immediately it creates the vapor jet flames. From my initial observation, the jet flames from the capillaries(sides) are bigger compare to my previous Open Jet Alcohol Stove . I have not run any boiling tests with this stove so i don't have any numbers to analyze the efficiency of this type of stove. I have not prepare much detail steps in making this stove but if you interested, let me know. Below are some of my photo

The difference between state context and event context in Tasker

Image
I notice that there are similarities between some of the state context methods and event context methods when creating a Tasker profile. I did a little research on various posts from the internet and this is what i can understand.

Run Quartus II fitter and TimeQuest Timing Analyzer sweeping a list of fitter seed numbers automatically

This Tcl script will help you can to run Quartus II fitter seed sweep without the help of Design Space Explorer. Running fitter seeds sweeping without Design Space Explorer is always a pain in the ass. You have to manually change the seed number, run fitter, run STA and record down the Static Timing Analysis results for comparison later. And if you have 10 seeds to sweep, you will have to re do it again for nine more times. This is a Quartus II Tcl script that automates it.

Run Quartus II fitter and TimeQuest Timing Analyzer automatically using Tcl script

This is a Quartus II Tcl script that will automate the fitter and TimeQuest Timing Analyzer automatically sequentially. By default in Quartus II, the tasks flow customization does not allow running fitter first without running elaboration and synthesis first. This is one of the pre-requisites Altera enforce on the tasks flow. Some times when optimizing a FPGA design by playing around the fitter settings, you will just need to rerun fitter and TimeQuest to get the timing analysis results of the fitter settings change. This script is intended to be use as the script file argument for the "quartus_sh" command line.

Customize the Quartus II tasks flow to run fitter and TimeQuest Timing Analyzer only

This is how you can customize the Quartus II tasks flow to only run fitter and TimeQuest Timing Analyzer without running elaboration and synthesis first. Without this, the tasks flow will not allow you to customize it this way. You have to run fitter manually and run TimeQuest manually after fitter had finish.